Intel To Achieve Process Performance Leadership By 2025: Announces Five Year Roadmap

|

During the Intel Accelerated event held on 26 July 2021, Intel Corporation has revealed several details regarding the upcoming process and packaging technology roadmaps. The company has revealed all the upcoming node technologies till 2025.

Intel To Achieve Process Performance Leadership By 2025

Intel has officially unveiled a new process technology called RibbonFET, a new transistor architecture. On top of that, Intel has also announced a new technology called PowerVia, which is an industry-first technology that uses backside power delivery.

Intel has also confirmed that it has planned to swiftly adopt next-generation extreme ultraviolet lithography (EUV) for the next generations of CPU. It should improve energy efficiency, and Intel is also said to be the first-in-the-industry to receive the High NA EUV (High Numerical Aperture) protocol tool.

According to the CEO of the company, Intel is accelerating the roadmap to become performance leadership by 2025. Pat Gelsinger further said that the company is leveraging its unparalleled pipeline of innovation to deliver technology advances from the transistor up to the system level.

Intel To Achieve Process Performance Leadership By 2025

Intel's Roadmap To Upcoming Process Technologies

Intel 7 is the upcoming technology and will replace the current 10nm SuperFin technology. It is expected to offer a 10 to 15 percent improvement in performance per watt. The company has confirmed to ship Intel 7 technology on Alder Lake CPUs for clients in 2021 and is expected to enter the production line by 2022.

Intel 4 will be the company's first fabrication technology to fully utilize EUV lithography. This technology is based on ultra-short wavelength light and is said to offer over 20 percent improvement in performance per watt. Intel CPUs based on Intel 4 technology will hit the production line by the second half of 2022, and the products will go on sale in 2023.

Intel To Achieve Process Performance Leadership By 2025

Intel 3 is an optimized version of FinFET, which is set to offer an 18 percent improvement in performance per watt when compared to the Intel 4 technology. CPUs based on the Intel 3 fabrication will enter production in the second half of 2023.

Intel 20A is said to be the next-gen fabrication method that uses both RibbonFET and PowerVia technologies. This will also be the first process from Intel to use a gate-all-around transistor that can deliver power from the front side of the wafer. The company is expected to finish the Intel 20A design by 2024 and has partnered with Qualcomm.

Intel 18A is the successor to the Intel 20A, which will enter the market post-2025. This technology will further refine the processes like RibbonFET to get better energy efficiency, and it is also said to use the next-generation High NA EUV.

Best Mobiles in India

Read More About: intel news cpu

Best Phones

Get Instant News Updates
Enable
x
Notification Settings X
Time Settings
Done
Clear Notification X
Do you want to clear all the notifications from your inbox?
Yes No
Settings X
X